Khác biệt giữa bản sửa đổi của “Verilog”

Bách khoa toàn thư mở Wikipedia
Nội dung được xóa Nội dung được thêm vào
n Thêm phần: Tài liệu bổ sung
Thẻ: Trình soạn thảo mã nguồn 2017
n Thêm phần: Ghi chú
Thẻ: Trình soạn thảo mã nguồn 2017
Dòng 56: Dòng 56:
{{Tham khảo}}
{{Tham khảo}}


; Ghi chú
; Ghi chú
{{refbegin}}
*{{Cite book | doi = 10.1109/IEEESTD.2006.99495| title = 1364-2005 — IEEE Standard for Verilog Hardware Description Language| year = 2006| isbn = 0-7381-4850-4}}
*{{Cite book | doi = 10.1109/IEEESTD.2001.93352| title = 1364-2001 — IEEE Standard Verilog Hardware Description Language| year = 2001| isbn = 0-7381-2826-0}}
*{{Cite book | doi = 10.1109/IEEESTD.2004.95753| title = 61691-4-2004 — IEC/IEEE Behavioural Languages — Part 4: Verilog Hardware Description Language (Adoption of IEEE Std 1364-2001)| year = 2004| isbn = 2-8318-7675-3}}
*{{Cite book | doi = 10.1109/IEEESTD.1996.81542| title = 1364-1995 — IEEE Standard Hardware Description Language Based on the Verilog(R) Hardware Description Language| year = 1996| isbn = 978-0-7381-3065-1}}
*{{cite book |first=Donald E. |last=Thomas |last2=Moorby |first2=Phillip R. |title=The Verilog® Hardware Description Language |publisher=Springer |year=2013 |edition=3rd |isbn=1475724640 |url=https://books.google.com/books?id=kGDaBwAAQBAJ&pg=PR2}}
* [http://instruct1.cit.cornell.edu/Courses/ece576/Verilog/coding_and_synthesis_with_verilog.pdf] Cornell ECE576 Course illustrating synthesis constructs
*{{cite book |first=Janick |last=Bergeron |title=Writing Testbenches: Functional Verification of HDL Models |url=https://books.google.com/books?id=Zi_jBwAAQBAJ&pg=PR1 |date=2012 |publisher=Springer |isbn=978-1-4615-0302-6 |edition=2nd}} (The HDL Testbench Bible)
{{refend}}


== Liên kết ngoài ==
== Liên kết ngoài ==

Phiên bản lúc 13:19, ngày 28 tháng 8 năm 2019

Verilog
Mẫu hìnhStructured
Xuất hiện lần đầu1984 (1984)
Phiên bản ổn định
IEEE 1364-2005 / 9 tháng 11 năm 2005; 18 năm trước (2005-11-09)
Kiểm tra kiểuStatic, weak
Phần mở rộng tên tập tin.v, .vh
Phương ngữ
Verilog-AMS
Ảnh hưởng từ
C, Fortran
Ảnh hưởng tới
SystemVerilog

Verilog, được tiêu chuẩn hóa thành IEEE 1364, là ngôn ngữ mô tả phần cứng (hardware description language, viết tắt: HDL) được sử dụng để mô hình hóa các hệ thống điện tử . Nó được sử dụng phổ biến nhất trong thiết kế và xác minh các mạch kỹ thuật sốtrừu tượng mức chuyển thanh ghi. Nó cũng được sử dụng trong việc xác minh các mạch tương tựmạch tín hiệu hỗn hợp, cũng như trong thiết kế các mạch di truyền . [1] Vào năm 2009, tiêu chuẩn Verilog (IEEE 1364-2005) đã được hợp nhất vào tiêu chuẩn SystemVerilog, tạo ra tiêu chuẩn IEEE 1800-2009. Kể từ đó, Verilog chính thức là một phần của ngôn ngữ SystemVerilog. Phiên bản hiện tại là tiêu chuẩn IEEE 1800-2017. [2]

Tổng quan

Ví dụ

Một ví dụ đơn giản về hai flip-flop sau:

Phần mềm mô phỏng

Để biết thông tin về trình giả lập Verilog, hãy xem danh sách trình giả lập Verilog .

Xem thêm

Tài liệu bổ sung

Ngôn ngữ tương tự

Tham khảo

  1. ^ Nielsen AA, Der BS, Shin J, Vaidyanathan P, Paralanov V, Strychalski EA, Ross D, Densmore D, Voigt CA (2016). “Genetic circuit design automation”. Science. 352 (6281): aac7341. doi:10.1126/science.aac7341. PMID 27034378.
  2. ^ 1800-2017 - IEEE Standard for SystemVerilog--Unified Hardware Design, Specification, and Verification Language
Ghi chú

Liên kết ngoài

Hướng dẫn và tài nguyên chung

Tiêu chuẩn phát triển

Phần mở rộng ngôn ngữ

  • Verilog AUTOs - Một hệ thống nhận xét meta mã nguồn mở để đơn giản hóa việc duy trì mã Verilog.